CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL ALU

搜索资源列表

  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. VHDL CPU部件

    1下载:
  2. 包括一个简单的ALU和一些寄存器、ROM的设计。有一些以TXT文件格式存在,用的时候只要改一下格式即可。
  3. 所属分类:VHDL编程

  1. VHDL语言ALU设计

    0下载:
  2. VHDL语言8位ALU设计
  3. 所属分类:VHDL编程

    • 发布日期:2011-12-10
    • 文件大小:1485929
    • 提供者:kzelfxjj
  1. 三种16位整数运算器的ALU设计方法

    2下载:
  2. 三种16位整数运算器的ALU设计方法,调用库函数74181(4位ALU),组成串行16位运算器。(用74181的正逻辑) B.调用库函数74181和74182,组成提前进位16位运算器。(用74181的正逻辑) 注意:调74181库设计,加进位是“0”有效,减借位是“1”有效,所以最高位进位或借位标志寄存器要统一调整到高有效 C.用always @,case方式描述16位运算器。,Three 16-bit integer arithmetic logic unit of the ALU
  3. 所属分类:VHDL编程

    • 发布日期:2013-01-14
    • 文件大小:800
    • 提供者:yifang
  1. control_fsm_rtl.vhd

    0下载:
  2. ALU 有限状态机 ALU 有限状态机 ALU 有限状态机 ALU 有限状态机 ALU 有限状态机-ALU FSMALU FSMALU FSMALU FSMALU FSMALU FSMALU FSMALU FSM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:7530
    • 提供者:王俊龙
  1. ALU

    1下载:
  2. vhdl代码 使用quartus编译 cpu中 alu的设计 可作为课程设计的参考 此为16的运算器-VHDL code using Quartus compiler cpu in alu design of curriculum design can be used as a reference for this for 16 computing device
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1081
    • 提供者:闵瑞鑫
  1. alu

    0下载:
  2. 这是32位alu的代码,使用verilog写的,包含了简单的运算功能-This is a 32-bit alu code, use verilog to write, and includes a simple arithmetic functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1030
    • 提供者:杨恋
  1. ALU

    0下载:
  2. 算数逻辑单元,实现算数加、减,加1、减1运算和逻辑与、或、非和传递-Arithmetic logic unit, to achieve arithmetic add, subtract, plus one, minus one operation and logical AND, OR, and transmission of non-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:303012
    • 提供者:龙一
  1. alu

    0下载:
  2. 用VHDL实现8种运算的ALU,带鱼不带符号的加减乘除,与或异或和求反-Use VHDL to achieve the eight kinds of computing ALU, hairtail unsigned addition and subtraction, multiplication and division, with or XOR and seek anti-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:1238
    • 提供者:helen
  1. ALU

    0下载:
  2. 在Xilinx7.1平台下编写的ALU代码,可以实现五位加法、减法、与、异或四种运算!-Xilinx7.1 platform in the preparation of the ALU code, can be achieved five adder, subtraction, and, four computing XOR!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1403
    • 提供者:梁晓炬
  1. alu

    0下载:
  2. 算术运算单元ALU的设计,才用VHDL语言编写,有仿真波形-vhdl alu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:94334
    • 提供者:wer
  1. 8-bit-alu

    0下载:
  2. this is an 8 bit alu. to perform various arithmetic and logical operations
  3. 所属分类:Project Design

    • 发布日期:2017-04-12
    • 文件大小:655
    • 提供者:khan
  1. ALU

    0下载:
  2. 这是一个用vhdl语言实现的比较完整的ALU,可以用作其他cPU设计的部件-This is a vhdl language used to achieve complete ALU, can be used for other design components cPU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:195908
    • 提供者:maxpayne
  1. alu

    0下载:
  2. 设计带进位算术逻辑运算单元,根据74LS181功能表,用Verilog HDL硬件描述语言编程实现ALU181的算术逻辑运算功能,编辑实验原理图,在算术逻辑单元原理图上,将其扩展为带进位的算术逻辑运算单元,对其进行编译,并设计波形对其进行仿真验证,最后下载验证-Design into the digital arithmetic logic operation unit, in accordance with menu 74LS181 with Verilog HDL hardware desc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:667999
    • 提供者:623902748
  1. ALU-FP

    0下载:
  2. ALU floating point 8 bit
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-26
    • 文件大小:781035
    • 提供者:nicola
  1. alu_code_asif

    0下载:
  2. vhdl code for ALU.i think by reading his code..it will be very easy for you to design an Alu.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:28890
    • 提供者:Ammad
  1. VHDL05

    0下载:
  2. ALU算术逻辑运算模块设计代码。内容简单。是个不错的代码,学习的人可以下载参阅。-ALU arithmetic logic operations module design code. Simple. Is not a bad code, people can download the study refer to.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:730
    • 提供者:yanyinhong
  1. 4_Bit_Alu_vhdl

    0下载:
  2. Complete VHDL Code for a 4 BIT ALU PROJECT
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:22714
    • 提供者:jassu
  1. ALU8

    0下载:
  2. ALU算术逻辑单元,8位,含源程序以及仿真后的波形图-ALU arithmetic logic unit 8, including source code, as well as post-simulation waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:30037
    • 提供者:赵剑平
  1. ALU

    1下载:
  2. 用VHDL硬件描述语言写的ALU设计,有加法,减法,乘法和除法等计算功能。-VHDL hardware descr iption language used to write the ALU design, there are addition, subtraction, multiplication and division such as computing.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:3390
    • 提供者:飞翔
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com